Verilog File Open,寫入資料至檔案

在寫Verilog Testbench時,常常需要把資料印出Log到檔案中

很簡單,但是是常常會忘記的東西><

簡單寫個範例


parameter file_name     = "data.txt" ;  // the output file name

integer out ;

initial
     begin
                out = $fopen ( file_name, "w" );  // 檔案開啟為W的狀態,跟C用法一樣
                $display ( "File %s is open", file_name ) ;
     end

$fwrite ( out, “ This File for Log Using” ) ;

$fwrite ( out, "%b\n",data );  // 如同C的printf用法,把" " 內的資料寫入 out 內。

$fclose( out ); // 程式結束時,記得要把檔案關閉


留言

熱門文章